Quantcast
Channel: VLSI Pro » sequence
Browsing latest articles
Browse All 4 View Live

Image may be NSFW.
Clik here to view.

SVA Sequences I : Basics

Sequences Sequence is a finite list of System Verilog Boolean expressions which matches along a finite interval of consecutive clock ticks. It evaluates Boolean expressions to true in each clock tick...

View Article



Image may be NSFW.
Clik here to view.

SVA Sequences II – Repetition Operators

There are three different kinds of repetition operators available in SVA viz., consecutive, non-consecutive and goto. For all three operators the number of iterations can be either specified by an...

View Article

SVA Sequences III – Other Operators

Operator AND The binary operator AND is used when both operands are expected to match, but the end times of the operand sequences may be different. That means, when one of the operand sequence match,...

View Article

SVA Sequences IV : Methods

Method .triggered This is built-in method on a sequence . This is a method to break down the complex sequence to simpler sub-sequences. The endpoint of sub-sequence can be detected using the method...

View Article
Browsing latest articles
Browse All 4 View Live




Latest Images